TG Telegram Group Link
Channel: FPGA-Systems Events
Back to Bottom
sv.pdf
312 KB
Сегодня буду выкладывать вам пару-тройку pdf-ок для факультативного освоения.

Первый в очереди документ 10 летней давности, в котором разобраны отличия SV от V

SystemVerilog is not just for Verification! This paper examines in detail the synthesizable subset of SystemVerilog for ASIC and FPGA designs, and presents the advantages of using these constructs over traditional Verilog. Readers will take away from this paper new RTL modeling skills that will indeed enable modeling with fewer lines of code, while at the same time reducing potential design errors and achieving high synthesis Quality of Results (QoR).


===
@fpgasystems_events - канал плисовых новостей
===
===
Комрадс, я запустил e-mail рассылку с приглашением на конференцию FPGA / RTL / Verification инженеров fpga-systems.ru/meet

Если вам для получения разрешения необходимо именное пригласительное письмо, напишите мне в личку @KeisN13
Также, если нужно отправить приглашение на официальную почту вашего предприятия также дайте знать в личке
sta.pdf
52.6 MB
Второй документ в списке - презентация по основам статического временного анализа - Static Timing Analysis - оно же в простонародье STA. Важная часть проектирования на ПЛИС - это понимание возникновения отрицательных временных запасов (слаков) по сетап и холд, а также методов избавления от них (парочка методов была разобрана на одном из стримов). Отрицательные значения в setup и hold приводят к некорректной работе проекта. Даже значение в -0,001ns может стать фатальным.

Также более подробный разбор с формулами для разных случаев временного анализа описан в 6 частях на нашем сайте (часть 1, или результат поиска)
===
@fpgasystems_events - канал плисовых новостей
===
verilog_interview_questions.pdf
2.2 MB
Разбор нескольких типовых вопросов по основам Verilog, которые можно услышать на собеседовании
===
@fpgasystems_events - канал плисовых новостей
===
SystemVerilog Interface.pdf
1.6 MB
Продолжим презентацией по интерфейсам в SV
===
@fpgasystems_events - канал плисовых новостей
===
3344 почти как 1122 (только для дотеров)
смотрите как делалсась первая плис в мире
Forwarded from Даня
Касательно видеокарты на плис у разработчика взяли комментарии к проекту и сделали чуть более раскрывающее видео https://youtu.be/yzuoGkXl8Vk?si=XIy62WqhHYJ9Px7s
Forwarded from Никита [CLTanuki] Мошкалов
Сообщество Genesis организует серию образовательных митапов, посвященных разработке электроники, embedded software, домашним экспериментам с железом и промышленному дизайну. Митапы ведут эксперты, работающие в отрасли, и профессиональные преподаватели.

Первая встреча пройдет 12 мая, в 18:30, в Failover Bar.

Встреча посвящена программируемым схемам (FPGA, или по-русски - ПЛИС). Такие схемы используются для быстрого создания и прототипирования электроники. Вам не нужно паять транзисторы, а можно просто написать три строчки кода, провести симуляцию и все заработает!

Мы проведем краткий экскурс в историю FPGA: как возникла идея создания гибких инструментов разработки цифровых устройств, и как это выглядит на практике прямо сейчас. Темы, которые мы будем обсуждать:

1. Отличие ПЛИС от других привычных электронных схем;
2. Развитие FPGA с начала времен и до наших дней;
3. Основные термины, принципы работы и способы проектирования;
4. Почему проектирование на FPGA - это программирование? Языки описания аппаратуры, что это и как использовать на примерах;
5. Применение FPGA: разработка процессоров, машинное обучение и нейронные сети, цифровая обработка сигналов.
Господа, не забываем, што у вас осталась 1 неделя (или 7 дней или 168 часов) до окончания приема заметок о вашем опыте или заметок о том как вы решали ту или иную задачу/проблему связанную с проектированием на ПЛИС.

Второй номер FPGA журнала ждет ваших текстов с картинками и кодом до 12 мая

Все подробности на странице журнала fpga-systems.ru/fsm
Ребята, по конференции fpga-systems.ru/meet
у нас остался один получасовой слот в Питере 12:30-13. Может быть есть еще кто-то, кто хотел бы выступить там?
Напишите мне @KeisN13
Вышел релиз 0.0.12 тула для конвертации системверилога в верилог - sv2v. В новой версии исправили множество ошибок и добавили некоторые полезные улучшения. Например, always_comb и always_latch теперь выполняются в нулевом времени, как этого требует стандарт. Список наиболее значимых изменений найдёте по ссылке и в списке закрытых issue.
Напомню, что проект sv2v изначально разрабатывался с целью обеспечить поддержку SV в синтезаторе Yosys. В связи с этим, преобразование несинтезируемого SV в нём на зачаточном уровне.

Больше опенсорсных новостей ищи в канале https://hottg.com/enginegger
Forwarded from Анна Шибаева
🚀 Заканчивается регистрация на летнюю стажировку YADRO Импульс для студентов!

Присоединяйтесь к команде инженеров YADRO и работайте над реальными задачами компании!

• Уже с первого дня вы начнете работать над реальным проектом, а менторы будут сопровождать вас на каждом этапе и помогут с реализацией необходимых задач;
• Открыто более 60 направлений, включая разработку ПО, полупроводников и аппаратных средств;
• По итогам лета лучшие участники будут приглашены на долгосрочную стажировку или на постоянную позицию в штат.

Читайте подробности на сайте и регистрируйтесь на Импульс до 12 мая включительно!
Please open Telegram to view this post
VIEW IN TELEGRAM
uvm_interview_qa.pdf
2.3 MB
55 страниц каких-то вопросов про UVM и ответы к ним. Cкорее всего там что-то не правильно, я не читал. Но ребята из чата по верификации @fpgasystems_verification наверняка накидают "uvm на верилятор"
Если каждый из нас сядет и напишет хотя-бы 1 страничку о том как он решил проблему с блевадой, кряктусом, говинской иде и тд то уже будет 100 страниц в журнале.

Писать можно о чем угодно - от туториала по установке лицензий и советов по нераспознаванию бластеров до автоматизации процесса сборки проектов и идей для будущих проектов.

Господа поднажмите - 7 статей в журнале по ПЛИС / RTL / Верификации во втором номере FPGA журнала - это не слишком серьезно для 3-х тысячного коммунити плисоводов!

до 23 мая, а лучше раньше присылайте текстовый вариант в любом формате, без модерации, бЕз ФоРмАтИРОВния, с очепятками мне в личку @KeisN13

Не бойтесь што вас поднимут на смех или статья будет не интересна читателю - у каждой статьи свой читатель, а угодить всем все равно не выйдет.

fpga-systems.ru/fsm
===
HTML Embed Code:
2024/05/13 23:21:48
Back to Top